Research

CHEST covers Security and Trust at the

  1. systems/application level
  2. architectural and board level
  3. embedded-device level
  4. FPGA and ASIC level
  5. circuit level (including analog, RF, and digital).

UConn areas of expertise include the following: PUFs, Hardware Security Primitives, Counterfeit IC Detection and Prevention, Side Channel Attacks, Hardware Trojans, Supply Chain Security, Embedded Systems Security, Reverse Engineering, Oblivious RAM, Secure Processor Architectures

PUFs

  1. TREVERSE: Trial-and-Error Lightweight Secure Reverse Authentication with Simulatable PUFs
    Y Gao, M van Dijk, L Xu, S Nepal, DC Ranasinghe
    arXiv preprint arXiv:1807.11046 2018
  2. Phase Calibrated Ring Oscillator PUF Design and Application, W Yan, J Chandy
    Computers 7 (3), 40 2018
  3. Phase Calibrated Physical Unclonable Function Design and Implementation on FPGAs, W Yan, C Jin, F Tehranipoor, JA Chandy, Intl. Conf. on Field Programmable Logic 2017
  4. PUF-based fuzzy authentication without error correcting codes
    W Yan, F Tehranipoor, JA Chandy
    IEEE Transactions on Computer-Aided Design of Integrated Circuits 2017
  5. Investigation of DRAM PUFs reliability under device accelerated aging effects,”
    F Tehranipoor, N Karimian, W Yan, JA Chandy
    Circuits and Systems (ISCAS), 2017 IEEE International Symposium on, 1-4 6 2017
  6. DRAM-based intrinsic physically unclonable functions for system-level security and authentication
    F Tehranipoor, N Karimian, W Yan, JA Chandy
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (3) 2017
  7. FPGA implementation of a cryptographically-secure PUF based on learning parity with noise
    C Jin, C Herder, L Ren, PH Nguyen, B Fuller, S Devadas, M van Dijk
    Cryptography 1 (3), 23 3 2017
  8. A novel way to authenticate untrusted integrated circuits, W Yan, F Tehranipoor, JA Chandy, Proceedings of the IEEE/ACM International Conference on Computer-Aided Design 132-138 2015
  9. “PLayPUF: Programmable Logically Erasable PUFs for Forward and Backward Secure Key Management,” C Jin, X Xu, WP Burleson, U Rührmair, M van Dijk, IACR Cryptology ePrint Archive, 1052 2 2015
  10. “A Stateless Cryptographically-Secure Physical Unclonable Function,” C Herder, L Ren, M van Dijk, Meng-Day (Mandel) Yu, S Devadas IACR Cryptology ePrint Archive 2015, 798 1 2015
  11. “Trapdoor Computational Fuzzy Extractors,” C Herder, L Ren, M van Dijk, Meng-Day (Mandel) Yu, S Devadas, IACR Cryptology ePrint Archive 2014, 938 7 2014
  12. PUF Interfaces and their Security
    M van Dijk, U Rührmair
    2014 IEEE Computer Society Annual Symposium on VLSI, 25-28 2 2014
  13. Protocol attacks on advanced PUF protocols and countermeasures, M van Dijk, U Rührmair, Proceedings of the Conference on Design, Automation & Test in Europe, 351 8 2014
  14. PUFs in security protocols: Attack models and security evaluations
    U Rührmair, M van Dijk
    Security and Privacy (SP), 2013 IEEE Symposium on, 286-300 80 2013

Hardware Security Primitives

  1. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (6), 1094-1097 2018
  2. An Overview of DRAM-Based Security Primitives, NA Anagnostopoulos, S Katzenbeisser, J Chandy, F Tehranipoor Cryptography 2 (2), 7 2 2018
  3. DRNG: DRAM-based random number generation using its startup value behavior, C Eckert, F Tehranipoor, JA Chandy, Proceedings of the 60th IEEE International Midwest Symposium on Circuits and Systems 2017
  4. “Insights into the Potential Usage of the Initial Values of DRAM Arrays of Commercial Off-The-Shelf Devices for Security Applications,” NA Anagnostopoulos, A Schaller, Y Fan, W Xiong, F Tehranipoor, T Arul, et al, Proceedings of the 26th Crypto-Day, Nuremberg, Germany, 1-2 2 2017
  5. Phase Change Memory and Its Applications in Hardware Security, RS Khan, N Noor, C Jin, J Scoggin, Z Woods, S Muneer, A Ciardullo, et al, Security Opportunities in Nano Devices and Emerging Technologies, 115-136 2017
  6. “A study of power supply variation as a source of random noise,” F Tehranipoor, N Karimian, W Yan, JA Chandy VLSI Design and 2017 16th International Conference on Embedded Systems 2017
  7. Intrinsically Reliable and Lightweight Physical Obfuscated Keys, RS Khan, N Kanan, C Jin, J Scoggin, N Noor, S Muneer, F Dirisaglik, et al arXiv preprint arXiv:1703.07427 2017
  8. “Trapdoor computational fuzzy extractors and stateless cryptographically-secure physical unclonable functions,” C Herder, L Ren, M van Dijk, MD Yu, S Devadas, IEEE Transactions on Dependable and Secure Computing 14 (1), 65-82 27 2017
  9. “Robust hardware true random number generators using dram remanence effects,” F Tehranipoor, W Yan, JA Chandy, Hardware Oriented Security and Trust (HOST), 2016 IEEE International 2016
  10. DRAM based intrinsic physical unclonable functions for system level security, F Tehranipoor, N Karimian, K Xiao, J Chandy, Proceedings of the 25th edition on Great Lakes Symposium on VLSI, 15-20 2015

Counterfeit Detection and Prevention

  1. A novel crowdsourcing platform for microelectronics counterfeit defect detection
    B Ahmadi, P Tavousi, J Favata, P Shahbeigi-Roodposhti, R Pelapur, et al
    Microelectronics Reliability 88, 48-53 2018
  2. Automated detection of counterfeit ICs using machine learning
    B Ahmadi, B Javidi, S Shahbazmohamadi
    Microelectronics Reliability 88, 371-377 2018
  3. Quality control and authentication of packaged integrated circuits using enhanced-spatial-resolution terahertz time-domain spectroscopy and imaging
    K Ahi, S Shahbazmohamadi, N Asadizanjani
    Optics and Lasers in Engineering 104, 274-284 39 2018
  4. Secure and efficient initialization and authentication protocols for SHIELD
    C Jin, M van Dijk
    IEEE Transactions on Dependable and Secure Computing 2 2017
  5. Analyzing the impact of X-ray tomography for non-destructive counterfeit detection
    N Asadizanjani, S Shahbazmohamadi, M Tehranipoor, D Forte
    Proc. Int. Symp. Testing Failure Anal, 1-10 3 2015
  6. Terahertz characterization of electronic components and comparison of terahertz imaging with x-ray imaging techniques
    K Ahi, N Asadizanjani, S Shahbazmohamadi, M Tehranipoor, M Anwar
    Terahertz Physics, Devices, and Systems IX: Advanced Applications in 2015
  7. Real-time automated counterfeit integrated circuit detection using x-ray microscopy
    K Mahmood, PL Carmona, S Shahbazmohamadi, F Pla, B Javidi
    Applied Optics 54 (13), D25-D32 18 2015
  8. Analyzing the impact of X-ray tomography on the reliability of integrated circuits
    H Dogan, MM Alam, N Asadizanjani, S Shahbazmohamadi, D Forte, et al
    Proc. 41st Int. Symp. Test. Failure Anal.(ISTFA), 1-10 4 2015
  9. Advanced physical inspection methods for counterfeit IC detection
    S Shahbazmohamadi, D Forte, M Tehranipoor
    40th International Symposium for Testing and Failure Analysis, 55-64

Side Channel Attacks

  1. Error Tolerant ASCA on FPGA
    C Ma, J Chandy

    International Conference on Cloud Computing and Security, 563-572 2018
  2. A Complete Tolerant Algebraic Side-Channel Attack for AES with CP
    Liu F., Cruz W., Michel L.
    Hooker J. (eds) Principles and Practice of Constraint Programming. CP 2018. Lecture Notes in Computer Science, vol 11008. Springer, Cham
  3. Influence of Error on Hamming Weights for ASCA
    C Ma, J Chandy, L Michel, F Liu, W Cruz
    International Conference on Information Security and Cryptology, 447-460 2017
  4. Algebraic Side-Channel Attack on Twofish, C Ma, JA Chandy, Z Shi, J. Internet Serv. Inf. Secur. 7 (2), 32-43 2017

Hardware Trojans

  1. “Comments on” Defeating HaTCh: Building Malicious IP Cores”, SK Haider, C Jin, M van Dijk, arXiv preprint arXiv:1804.04783 1 2018
  2. Advancing the state-of-the-art in hardware trojans detection, SK Haider, C Jin, M Ahmad, D Shila, O Khan, M van Dijk, IEEE Transactions on Dependable and Secure Computing 12 2017
  3. “Mitigating Synchronized Hardware Trojan Attacks in Smart Grids,” C Jin, L Ren, X Liu, P Zhang, M van Dijk, Proceedings of the 2nd Workshop on Cyber-Physical Security and Resilience in Smart Grids, 2017
  4. Advancing the state-of-the-art in hardware Trojans design
    SK Haider, C Jin, M van Dijk
    IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS) 823-826 2017
  5. SK Haider, C Jin, M Ahmad, DM Shila, O Khan, MV Dijk
    Univ. Connecticut, Cryptol. ePrint Arch., Tech. Rep 943, 2014 7 2014
  6. HaTCh: Hardware Trojan Catcher
    SK Haider, C Jin, M Ahmad, DM Shila, O Khan, M van Dijk
    IACR Cryptology ePrint Archive 2014, 943 5 2014

Supply Chain Security

  1. New clone-detection approach for RFID-based supply chains, H Maleki, R Rahaeimehr, C Jin, M van Dijk Hardware Oriented Security and Trust (HOST), 2017 IEEE International 2017
  2. SoK: RFID-based Clone Detection Mechanisms for Supply Chains, H Maleki, R Rahaeimehr, M van Dijk, Proceedings of the 2017 Workshop on Attacks and Solutions in Hardware 2017
  3. LightSource: Ultra Lightweight Clone Detection of RFID Tags from Software Unclonable Responses, H Maleki, R Rahaeimehr, M van Dijk, IACR Cryptology ePrint Archive, 608 1 2016

Embedded Systems Security

  1. P2M-based security model: security enhancement using combined PUF and PRNG models for authenticating consumer electronic devices
    P Wortman, W Yan, J Chandy, F Tehranipoor
    IET Computers & Digital Techniques 12 (6), 289-296 2018
  2. “Low-cost authentication paradigm for consumer electronics within the internet of wearable fitness tracking applications,” F Tehranipoor, N Karimian, PA Wortman, JA Chandy, Consumer Electronics (ICCE), 2018 IEEE International Conference on, 1-6 4 2018
  3. Framework for Design Exploration of Secure Embedded System Development
    PA Wortman, JA Chandy
    Conference on Systems Engineering Research 2018
  4. “Exploring Methods of Authentication for the Internet of Things,” JA Chandy, J Fahrny, A Haque, PA Wortman, N Karimian, F Tehranipoor, Internet of Things: Challenges, Advances and Applications, 71-90 2017
  5. “CHASE Survey of Technology Needs,” SE Quadir, D DiMase, J Chandy, GOMACTech 2017
  6. Snapshotter: Lightweight intrusion detection and prevention system for industrial control systems, C Jin, S Valizadeh, M van Dijk, 2018 IEEE Industrial Cyber-Physical Systems (ICPS), 824-829 2018
  7. “Proposing a modeling framework for minimizing security vulnerabilities in IoT systems in the healthcare domain,” PA Wortman, F Tehranipoor, N Karimian, JA Chandy Biomedical & Health Informatics (BHI), 2017 IEEE EMBS International 2017
  8. “Hardware Security and its Adversaries,” M van Dijk, Proceedings of the 5th International Workshop on Trustworthy Embedded Devices, 2015

Reverse Engineering

  1. Realistic non-destructive testing of integrated circuit bond wiring using 3-D X-ray tomography, reverse engineering, and finite element analysis, J Favata, S Shahbazmohamadi Microelectronics Reliability 83, 91-100 2018
  2. Further Inquiry into Xe+ Primary Ion Species for Circuit Edit Application
    V Ray, A Hadjikhani, J Favata, S Ahmadi, S Shahbazmohamadi
    2017
  3. “A survey on chip to system reverse engineering,” SE Quadir, J Chen, D Forte, N Asadizanjani, S Shahbazmohamadi, et al, ACM Journal on emerging technologies in computing systems (JETC) 13 (1), 6 66 2016
  4. “Multi-communication type debugging probe,” S Okwuosah, A Funes, C Guo, F Tehranipoor, J Chandy, MIT Undergraduate Research Technology Conference (URTC), 2016 IEEE, 1-4 2016
  5. Chip-level anti-reverse engineering using transformable interconnects
    S Chen, J Chen, D Forte, J Di, M Tehranipoor, L Wang
    2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS) 2015
  6. Non-destructive PCB reverse engineering using x-ray micro computed tomography
    N Asadizanjani, S Shahbazmohamadi, M Tehranipoor, D Forte
    41st International symposium for testing and failure analysis, ASM, 1-5 12 2015
  7. Malicious takeover of voting systems: arbitrary code execution on optical scan voting terminals
    R J Jancewicz, A Kiayias, L D Michel, A C Russell, and A A Shvartsman
    Proceedings of the 28th Annual ACM Symposium on Applied Computing (SAC ’13) 1816-1823 2013

Secure Processor Architectures

  1. “Design and implementation of the ASCEND secure processor,” L Ren, CW Fletcher, A Kwon, M van Dijk, S Devadas, IEEE Transactions on Dependable and Secure Computing 4 2017
  2. M-map: Multi-factor memory authentication for secure embedded processors, SK Haider, M Ahmad, F Hijaz, A Patni, E Johnson, M Seita, O Khan, et al 33rd IEEE International Conference on Computer Design (ICCD), 471-474 1 2015
  3. “Exploring the performance implications of memory safety primitives in many-core processors executing multi-threaded workloads,” M Ahmad, SK Haider, F Hijaz, M van Dijk, O Khan, Proceedings of the Fourth Workshop on Hardware and Architectural Support for Security and Privacy 2015
  4. “Author retrospective AEGIS: architecture for tamper-evident and tamper-resistant processing,” GE Suh, C Fletcher, D Clarke, B Gassend, M van Dijk, S Devadas, ACM International Conference on Supercomputing 25th Anniversary Volume, 68-70 5 2014
  5. Generalized external interaction with tamper-resistant hardware with bounded information leakage
    X Yu, CW Fletcher, L Ren, M Dijk, S Devadas
    Proceedings of the 2013 ACM workshop on Cloud computing security workshop, 23-34 21 2013
  6. X Yu, C Fletcher, L Ren, M Van Dijk, S Devadas
    MIT CSAIL CSG Technical Memo 509, April 2013.[Online]. Available: http://csg … 4 2013

Oblivious RAM

  1. “Path ORAM: An Extremely Simple Oblivious RAM Protocol,” E Stefanov, MV Dijk, E Shi, THH Chan, C Fletcher, L Ren, X Yu, et al, Journal of the ACM (JACM) 65 (4), 18 3 2018
  2. “Connecting the dots: Privacy leakage via write-access patterns to the main memory,” TM John, SK Haider, H Omar, M Van Dijk, IEEE Transactions on Dependable and Secure Computing 6 2017
  3. “Flat ORAM: A Simplified Write-Only Oblivious RAM Construction for Secure Processors,” SK Haider, M van Dijk, arXiv preprint arXiv:1611.01571 8 2016
  4. “Leveraging Hardware Isolation for Process Level Access Control & Authentication,” SK Haider, H Omar, I Lebedev, S Devadas, M van Dijk, Proceedings of the 22nd ACM on Symposium on Access Control Models and Technologies 2017
  5. “Revisiting Definitional Foundations of Oblivious RAM for Secure Processor Implementations,” S Kamran Haider, O Khan, M van Dijk arXiv preprint arXiv:1706.03852 2017
  6. “Onion ORAM: A constant bandwidth blowup oblivious RAM,” S Devadas, M van Dijk, CW Fletcher, L Ren, E Shi, D Wichs, Theory of Cryptography Conference, 145-174 86 2016
  7. “Constants Count: Practical Improvements to Oblivious RAM,” L Ren, CW Fletcher, A Kwon, E Stefanov, E Shi, M Van Dijk, S Devadas, USENIX Security Symposium, 415-430 84 2015
  8. “PRORAM: dynamic prefetcher for oblivious RAM,” X Yu, SK Haider, L Ren, C Fletcher, A Kwon, M van Dijk, S Devadas, Computer Architecture (ISCA), 2015 ACM/IEEE 42nd Annual International 2015
  9. “A low-latency, low-area hardware oblivious RAM controller,” CW Fletcher, L Ren, A Kwon, M Van Dijk, E Stefanov, D Serpanos, et al, Field-Programmable Custom Computing Machines (FCCM), 2015 IEEE 23rd Annual 2015
  10. “Freecursive ORAM:[nearly] free recursion and integrity verification for position-based oblivious RAM,” CW Fletcher, L Ren, A Kwon, M van Dijk, S Devadas, ACM SIGARCH Computer Architecture News 43 (1), 103-116 46 2015
  11. “Onion ORAM: A Constant Bandwidth and Constant Client Storage ORAM (without FHE or SWHE),” S Devadas, M van Dijk, CW Fletcher, L Ren, IACR Cryptology ePrint Archive 2015, 5 8 2015
  12. “Tiny ORAM: A Low-Latency, Low-Area Hardware ORAM Controller with Integrity Verification,” CW Fletcher, L Ren, A Kwon, M van Dijk, E Stefanov, S Devadas, 2014
  13. Suppressing the oblivious ram timing channel while making information leakage and program efficiency trade-offs, CW Fletcher, L Ren, X Yu, M Van Dijk, O Khan, S Devadas, High Performance Computer Architecture (HPCA), 2014 IEEE 20th International 2014
  14. “Tiny ORAM: A low-latency, low-area hardware ORAM controller,”
    CW Fletcher, L Ren, A Kwon, M Van Dijk, E Stefanov, S Devadas
    Cryptology ePrint Archive, Report 2014/431, 2014. http://eprint. iacr. org 7 2014
  15. “Ring ORAM: Closing the Gap Between Small and Large Client Storage Oblivious RAM,”
    L Ren, CW Fletcher, A Kwon, E Stefanov, E Shi, M van Dijk, S Devadas
    IACR Cryptology ePrint Archive 2014, 997 32 2014
  16. “RAW Path ORAM: A Low-Latency, Low-Area Hardware ORAM Controller with Integrity Verification,”
    CW Fletcher, L Ren, A Kwon, M Van Dijk, E Stefanov, S Devadas
    IACR Cryptology ePrint Archive 2014, 431 21 2014
  17. “Enhancing Oblivious RAM Performance Using Dynamic Prefetching,”
    X Yu, L Ren, CW Fletcher, A Kwon, M van Dijk, S Devadas
    IACR Cryptology ePrint Archive 2014, 234 9 2014
  18. Unified Oblivious-RAM: Improving Recursive ORAM with Locality and Pseudorandomness
    L Ren, CW Fletcher, X Yu, A Kwon, M van Dijk, S Devadas
    IACR Cryptology ePrint Archive 2014, 205 20 2014
  19. Path ORAM: an extremely simple oblivious RAM protocol
    E Stefanov, M Van Dijk, E Shi, C Fletcher, L Ren, X Yu, S Devadas
    Proceedings of the 2013 ACM SIGSAC conference on Computer & communications 2013
  20. Integrity verification for path oblivious-RAM
    L Ren, CW Fletcher, X Yu, M Van Dijk, S Devadas
    High Performance Extreme Computing Conference (HPEC), 2013 IEEE, 1-6 40 2013
  21. Design space exploration and optimization of path oblivious RAM in secure processors
    L Ren, X Yu, CW Fletcher, M Van Dijk, S Devadas
    ACM SIGARCH Computer Architecture News 41 (3), 571-582 96 2013
  • “Public Key Cryptosystems with Noisy Secret Keys,” C Herder, B Fuller, M van Dijk, S Devadas, IACR Cryptology ePrint Archive 2017, 210 3 2017
  • “Markov modeling of moving target defense games,” H Maleki, S Valizadeh, W Koch, A Bestavros, M van Dijk, Proceedings of the 2016 ACM Workshop on Moving Target Defense, 81-92 26 2016
  • “Virtual fingerprint-image-based authentication increases privacy for users of mouse-replacement interfaces,” V Grindle, SK Haider, J Magee, M van Dijk, International Conference on Universal Access in Human-Computer Interaction 2015
  • FlipIt: The game of “stealthy takeover”
    M Van Dijk, A Juels, A Oprea, RL Rivest
    Journal of Cryptology 26 (4), 655-713 130 2013
  • Let’s stop trusting software with our sensitive data
    C Fletcher, M van Dijk, S Devadas
    IEEE Design & Test 30 (2), 103-104 1 2013
  • Iris: A scalable cloud file system with efficient integrity checks
    E Stefanov, M van Dijk, A Juels, A Oprea
    Proceedings of the 28th Annual Computer Security Applications Conference 2012
  • Defending against the Unknown Enemy: Applying FlipIt to System Security
    KD Bowers, M Van Dijk, R Griffin, A Juels, A Oprea, RL Rivest, …
    International Conference on Decision and Game Theory for Security, 248-263 39 2012